Как сделать светодиодные часы. Как сделать часы своими руками

Предлагаю вашему вниманию электронные часы на микроконтроллере . Схема часов очень проста, содержит минимум деталей, доступна для повторения начинающим радиолюбителям.

Конструкция собрана на микроконтроллере и часов реального времени DS1307 . В качестве индикатора текущего времени использован четырехразрядный семисегментный светодиодный индикатор (ультраяркий, голубого цвета свечения, что неплохо смотрится в темное время, и, заодно, часы играют роль ночника). Управление часами происходит двумя кнопками. Благодаря использованию микросхемы часов реального времени DS1307, алгоритм программы получился довольно простым. Общение микроконтроллера с часами реального времени происходит по шине I2C, и организованно программным путем.

Схема часов:

К сожалению, в схеме есть ошибка:
— выводы МК к базам транзисторов нужно подключать:
РВ0 к Т4, РВ1 к Т3, РВ2 к Т2, РВ3 к Т1
или поменять подключение коллекторов транзисторов к разрядам индикатора:
Т1 к DP1 ….. Т4 к DP4

Детали, используемые в схеме часов:

♦ микроконтроллер ATTiny26:

♦ часы реального времени DS1307:

♦ 4-разрядный семисегментный светодиодный индикатор – FYQ-5641UB -21 с общим катодом (ультраяркий, голубого цвета свечения):

♦ кварц 32,768 кГц, с входной емкостью 12,5 пф (можно взять с материнской платы компьютера), от этого кварца зависит точность хода часов:

♦ все транзисторы — NPN-структуры, можно применить любые (КТ3102, КТ315 и их зарубежные аналоги), я применил ВС547С
♦ микросхемный стабилизатор напряжения типа 7805
♦ все резисторы мощностью 0,125 ватт
♦ полярные конденсаторы на рабочее напряжение не ниже напряжения питания
♦ резервное питание DS1307 – 3 вольтовый литиевый элемент CR2032

Для питания часов можно использовать любое ненужное зарядное устройство сотового телефона (в этом случае, если напряжение на выходе зарядного устройства в пределах 5 вольт ± 0,5 вольта, часть схемы — стабилизатор напряжения на микросхеме типа 7805, можно исключить)
Ток потребления устройством составляет — 30 мА.
Батарейку резервного питания часов DS1307 можно и не ставить, но тогда, при пропадании напряжения в сети, текущее время придется устанавливать заново.
Печатная плата устройства не приводится, конструкция была собрана в корпусе от неисправных механических часов. Светодиод (с частотой мигания 1 Гц, от вывода SQW DS1307) служит для разделения часов и минут на индикаторе.

Установки микроконтроллера заводские: тактовая частота — 1МГц, FUSE-биты трогать не надо.

Алгоритм работы часов (в Algorithm Builder):

1. Установка указателя стека
2. Настройка таймера Т0:
— частота СК/8
— прерывания по переполнению (при такой предустановленной частоте вызов прерывания происходит каждые 2 миллисекунды)
3. Инициализация портов (выводы РА0-6 и РВ0-3 настраиваются на выход, РА7 и РВ6 на вход)
4. Инициализация шины I2C (выводы РВ4 и РВ5)
5. Проверка 7-го бита (СН) нулевого регистра DS1307
6. Глобальное разрешение прерывания
7. Вход в цикл с проверкой нажатия кнопки

При первом включении, или повторном включении при отсутствии резервного питания DS307, происходит переход в первоначальную установку текущего времени. При этом: кнопка S1 – для установки времени, кнопка S2 – переход к следующему разряду. Установленное время – часы и минуты записываются в DS1307 (секунды устанавливаются в ноль), а также вывод SQW/OUT (7-й вывод) настраивается на генерацию прямоугольных импульсов с частотой 1 Гц.
При нажатии кнопки S2 (S4 — в программе) происходит глобальный запрет прерываний, программа переходит в подпрограмму коррекции времени. При этом, кнопками S1 и S2 устанавливаются десятки и единицы минут, затем, с 0 секунд, нажатием кнопки S2 происходит запись уточненного времени в DS1307, разрешение глобального прерывания и возвращение в основную программу.

Часы показали хорошую точность хода, уход времени за месяц — 3 секунды.
Для улучшения точности хода, кварц рекомендуется подключать к DS1307, как указано в даташите:

Программа написана в среде «Algorithm Builder».
Вы можете, на примере программы часов, ознакомиться с алгоритмом общения микроконтроллера с другими устройствами по шине I2C (в алгоритме подробно прокомментирована каждая строчка).

Фотография собранного устройства и печатная плата в формате.lay от читателя сайта Анатолия Пильгук, за что ему огромное спасибо!

В устройстве применены: Транзисторы — СМД ВС847 и ЧИП резисторы

Приложения к статье:

(42,9 KiB, 3 038 hits)

(6,3 KiB, 4 058 hits)

(3,1 KiB, 2 500 hits)

(312,1 KiB, 5 833 hits)


Второй вариант программы часов в АБ (для тех у кого нескачивается верхний)

(11,4 KiB, 1 842 hits)

Вспоминаю… Тридцать лет назад шесть индикаторов были маленьким сокровищем. Тот, кто мог тогда сделать с такими индикаторами часы на ТТЛ логике, считался искушенным знатоком своего дела.

Свечение газоразрядных индикаторов казалось более теплым. Через несколько минут мне стало интересно, заработают ли эти старые лампы, и захотелось что-нибудь сделать на них. Теперь-то сделать такие часы очень просто. Достаточно взять микроконтроллер…

Поскольку тогда же я увлекался программированием микроконтроллеров на языках высокого уровня, я решил немного поиграть. Я попытался сконструировать простые часы на цифровых газоразрядных индикаторах.

Цель конструирования

Я решил, что часы должны иметь шесть цифр, а время должно устанавливаться минимальным количеством кнопок. Кроме того, я хотел попытаться использовать несколько наиболее распространенных семейств микроконтроллеров разных производителей. Программу я намеревался писать на языке C.

Газоразрядным индикаторам для работы требуется высокое напряжение. Но иметь дело с опасным сетевым напряжением я не хотел. Часы должны были питаться безвредным напряжением 12 В.

Поскольку основной моей целью была игра, вы не найдете здесь описания механической конструкции и чертежей корпуса. При желании, вы сами сможете изменить часы в соответствии со своими вкусами и опытом.

Вот что у меня получилось:

  • Индикация времени: ЧЧ ММ СС
  • Индикация будильника: ЧЧ ММ --
  • Режим отображения времени: 24 часа
  • Точность ±1 секунда в день (зависит от кварцевого резонатора)
  • Напряжении питания: 12 В
  • Потребляемый ток: 100 мА

Схема часов

Для устройства с шестиразрядным цифровым дисплеем естественным решением был мультиплексный режим.

Назначение большинства элементов блок-схемы (Рисунок 1) понятно без комментариев. В определенной степени нестандартной задачей было создание преобразователя уровней ТТЛ в высоковольтные сигналы управления индикаторами. Драйверы анодов сделаны на высоковольтных NPN и PNP транзисторах. Схема позаимствована у Стефана Кнеллера (http://www.stefankneller.de).

ТТЛ микросхема 74141 содержит двоично-десятичный дешифратор и высоковольтный драйвер для каждой цифры. Возможно, заказать одну микросхему будет сложно. (Хотя я не знаю, производятся ли они вообще кем-либо сейчас). Но уж если вы нашли газоразрядные индикаторы, 74141 могут оказаться где-то рядом:-). Во времена ТТЛ логики альтернативы микросхеме 74141 практически не было. Так что попробуйте найти где-нибудь одну штуку .

Индикаторам требуется напряжение порядка 170 В. Разрабатывать специальную схему для преобразователя напряжения не имеет смысла, поскольку существует огромное количество микросхем повышающих преобразователей. Я выбрал недорогую и широко доступную микросхему MC34063. Схема преобразователя почти полностью скопирована с технического описания MC34063. К ней лишь добавлен силовой ключ T13. Внутренний ключ для такого высокого напряжения не подходит. В качестве индуктивности для преобразователя я использовал дроссель. Он показан на Рисунке 2; его диаметр 8 мм, а длина 10 мм.

КПД преобразователя вполне хороший, а выходное напряжение относительно безопасно. При токе нагрузки 5 мА выходное напряжение падает до 60 В. R32 выполняет функцию токоизмерительного резистора.

Для питания логики используется линейный регулятор U4. На схеме и на плате есть место для резервного аккумулятора. (3.6 В - NiMH или NiCd). D7 и D8 - это диоды Шоттки, а резистор R37 предназначен для ограничения зарядного тока в соответствии с характеристиками аккумулятора. Если вы собираете часы просто для развлечения, аккумулятор, D7, D8 и R37 вам не потребуются.

Окончательная схема показана на Рисунке 3.

Рисунок 3.

Кнопки установки времени подключены через диоды. Состояние кнопок проверяется установкой логической «1» на соответствующем выходе. В качестве бонусной функции к выходу микроконтроллера подключен пьезоизлучатель. Чтобы заткнуть этот противный писк, используйте маленький выключатель. Для этого вполне подошел бы и молоток, но это уж на крайний случай:-).

Перечень компонентов схемы, рисунок печатной платы и схему размещения элементов можно найти в разделе «Загрузки».

Процессор

Управлять эти несложным устройством может практически любой микроконтроллер с достаточным количеством выводов, минимально необходимое количество которых указано в Таблице 1.

Таблица 1.
Функция Выводы
Питание 2
Кварцевый резонатор 2
Управление анодами 6
Драйвер 74141 4
Вход кнопок 1
Пьезоизлучатель 1
Всего 16

Каждый изготовитель разрабатывает собственные семейства и типы микроконтроллеров. Расположение выводов индивидуально для каждого типа. Я постарался сконструировать универсальную плату для нескольких типов микроконтроллеров. На плате установлена 20-контактная панелька. С помощью нескольких проволочных перемычек вы можете адаптировать ее для разных микроконтроллеров.

Ниже перечислены микроконтроллеры, проверенные в этой схеме. Вы можете поэкспериментировать с другими типами. Преимуществом схемы является возможность использования разных процессоров. Радиолюбители, как правило, используют одно семейство микроконтроллеров и имеют соответствующий программатор и программный инструментарий. С микроконтроллерами других изготовителей могут возникнуть проблемы, поэтому я дал вам возможность выбора процессора из любимого семейства.

Вся специфика включения различных микроконтроллеров отражена в Таблицах 2…5 и на Рисунках 4…7.

Таблица 2.
Freescale
Тип MC68HC908QY1
Кварцевый резонатор 12 МГц
Конденсаторы C1, C2 22 пФ
Программа freescale.zip
(см. раздел «Загрузки»)
Установки

Примечание: Параллельно кварцевому резонатору включен резистор 10 МОм.

Таблица 3.
Microchip
Тип PIC16F628A
Кварцевый резонатор 32.768 кГц
Конденсаторы C1, C2 22 пФ
Программа pic628.zip
(см. раздел «Загрузки»)
Установки Внутр. генератор 4 МГц - I/O RA6,
MCLR OFF, WDT OFF, LVP OFF,
BROUT OFF, CP OFF, PWRUP OFF

Примечание: Микросхему необходимо развернуть в панельке на 180°.

Таблица 4.
Atmel
Тип ATtiny2313
Кварцевый резонатор 12 МГц
Конденсаторы C1, C2 15 пФ
Программа attiny.zip
(см. раздел «Загрузки»)
Установки Кв. генератор 8 МГц, RESET ON

Примечание: Добавьте SMD компоненты R и C к выводу RESET (10 кОм и 100 нФ).

Таблица 5.
Atmel
Тип AT89C2051
Кварцевый резонатор 12 MHz
Конденсаторы C1, C2 22 пФ
Программа at2051.zip
(см. раздел «Загрузки»)
Установки --

Примечание: Добавьте SMD компоненты R и C к выводу RESET (10 кОм и 100 нФ); выводы, отмеченные звездочками, соедините с шиной питания +Ub через SMD резисторы 3.3 кОм.

Сравнив коды для разных микроконтроллеров, вы увидите, что они очень похожи. Различия имеются в доступе к портам и определению функций прерываний, а также в том, что зависит от компонентов обвязки.

Исходный код состоит из двух секций. Функция main() настраивает порты и запускает таймер, формирующий сигналы прерывания. После этого программа сканирует нажатые кнопки и устанавливает соответствующие значения времени и будильника. Там же в главном цикле текущее время сравнивается с будильником и включается пьезоизлучатель.

Вторая часть является подпрограммой обработки прерываний от таймера. Подпрограмма, которая вызывается через каждую миллисекунду (в зависимости от возможностей таймера), инкрементирует переменные времени и управляет цифрами дисплея. Кроме того, проверяется состояние кнопок.

Запуск схемы

Монтаж компонентов и настройку начинайте с источника питания. Запаяйте регулятор U4 и окружавшие его компоненты. Проверьте наличие напряжения 5 В для микросхемы U2 и 4.6 В для U1. Следующим шагом соберите высоковольтный преобразователь. Подстроечным резистором R36 установите напряжение 170 В. Если диапазона подстройки окажется недостаточно, немного измените сопротивление резистора R33. Теперь установите микросхему U2, транзисторы и резисторы схемы драйверов анодов и цифр. Соедините входы U2 с шиной GND и последовательно подключайте по одному из резисторов R25 - R30 к шине питания +Ub. В соответствующих позициях должны зажигаться цифры индикаторов. На последнем этапе проверки схемы соедините с землей вывод 19 микросхемы U1 - должен запищать пьезоизлучатель.

Исходные коды и откомпилированные программы вы найдете в соответствующем ZIP файле в разделе «Загрузки». После зашивки программы в микроконтроллер тщательно проверьте каждый вывод в позиции U1 и установите необходимые перемычки из проволоки и припоя. Сверяйтесь с изображениями микроконтроллеров, приведенными выше. Если микроконтроллер запрограммирован и подключен правильно, должен заработать его генератор. Вы можете установить время и будильник. Внимание! На плате есть место для еще одной кнопки - это запасная кнопка для будущих расширений:-).

Проверьте точность частоты генератора. Если она не укладывается в ожидаемый диапазон, слегка измените номиналы конденсаторов C1 и C2. (Припаяйте параллельно конденсаторы небольшой емкости или замените их другими). Точность хода часов должна улучшиться.

Заключение

Небольшие 8-битные процессоры вполне приспособлены для языков высокого уровня. Изначально язык C не предназначался для небольших микроконтроллеров, однако для простых приложений вы прекрасно можете использовать его. Ассемблер лучше подойдет для сложных задач, требующих соблюдения критических времен или максимальной загрузки процессора. Для большинства радиолюбителей подойдут как бесплатные, так и условно-бесплатные ограниченные версии компилятора C.

Программирование на C одинаково для всех микроконтроллеров. Вы должны знать функции аппаратных средств (регистров и периферии) выбранного типа микроконтроллера. Будьте осторожны с битовыми операциями - язык C к манипуляциям с отдельными битами не приспособлен, что можно увидеть на примере исходного когда для ATtiny.

Закончили? Тогда настройтесь на созерцание вакуумных ламп и смотрите…

…возвращаются старые времена … :-)

Примечание редакции

Полным аналогом SN74141 является микросхема К155ИД1, выпускавшаяся минским ПО «Интеграл».
Микросхему без труда можно найти в сети Интернет.

Совсем не давно появилась необходимость в доме заиметь часы, но только электронные , так как я не люблю стрелочные, потому что они тикают. У меня есть не малый опыт в пайке и вытравки схем. Порыскав по просторам Интернета и почитав некоторую литературу, я решил выбрать самую простую схему, так как мне не нужны часы с будильником.

Выбрал эту схему так как по ней легко сделать часы своими руками

Приступим, так что же нам надо для того, чтобы сделать себе часы своими руками? Ну конечно руки, умение (даже не большое) чтения схем, паяльник и детали. Вот полный перечень того, что я использовал:

Кварц на 10 мГц – 1 шт, микроконтроллер ATtiny 2313, резисторы на 100 Ом – 8 шт., 3 шт. на 10 кОм, 2 конденсатора по 22 пФ, 4 транзистора, 2 кнопки, светодиодный индикатор 4 разрядный KEM-5641-ASR (RL-F5610SBAW/D15). Монтаж я выполнял на одностороннем текстолите.

Но в этой схеме есть недостаток : на выводы микроконтроллера (далее МК), которые отвечают за управление разрядами, поступает довольно таки приличная нагрузка. Ток в общей сумме намного превышается от максимального тока порта, но при динамической индикации МК не успевает перегреваться. Для того чтобы МК не вышел из строя, добавляем в цепи разрядов 100 Ом резисторы.

В этой схеме управление индикатора осуществляется по принципу динамической индикации, в соответствии с которой сегменты индикатора управляются сигналами с соответствующих выводов МК. Частота повторения этих сигналов более 25 Гц и из-за этого свечение цифр индикатора кажется непрерывным.

Электронные часы, выполненные по выше указанной схеме, могут только показывать время (часы и минуты), а секунды показывает точка между сегментами , которая мигает. Для управления режимом работы часов в их структуре предусмотрены кнопочные переключатели, которые управляют настройкой часов и минут. Питание данной схемы осуществляется от блока питания в 5В. При изготовлении печатной платы в схему был включен 5В стабилитрон.

Так как у меня имеется БП на 5В, я из схемы исключил стабилитрон.

Чтобы изготовить плату, выполнялось нанесение схемы с помощью утюга. То есть печатная схема распечатывалась на струйном принтере с использованием глянцевой бумаги, ее можно взять с современных глянцевых журналов. После вырезался текстолит нужных размеров. У меня размер получился 36*26 мм. Такой маленький размер из-за того, что все детали выбраны в SMD корпусе.

Вытравка платы осуществлялась с помощью хлорного железа (FeCl 3 ) . По времени вытравка заняла примерно час, так как ванночка с платной стояла на камине, высокая температура влияет на время вытравки, не используемой меди в плате. Но не стоит переусердствовать с температурой.

Пока шел процесс вытравки, дабы не ломать себе голову и не писать прошивку для работы часов, пошел на просторы Интернета и нашел под данную схему прошивку. Как прошивать МК, так же можно найти в Интернете. Мною был использован программатор, который прошивает только МК компании ATMEGA.

И вот наконец-то наша плата готова и мы можем приступить к пайке наших часов. Для пайки нужен паяльник на 25 Вт с тонким жалом для того, чтобы не спалить МК и другие детали. Пайку осуществляем осторожно и желательно с первого раза припаиваем все ножки МК, но только по отдельности. Для тех, кто не в теме знайте, что детали, выполненные в SMD корпусе, имеют на своих выводах олово, для быстрой пайки.

А вот так вот выглядит плата с припаянными деталями.

На фото прототип, собранный мной для отладки программы, которая будет управлять всем этим хозяйством. Вторая arduino nano в верхнем правом углу макетки не относится к проекту и торчит там просто так, внимание на нее можно не обращать.

Немного о принципе работы: ардуино берет данные у таймера DS323, перерабатывает их, определяет уровень освещенности с помощью фоторезистора, затем все посылает на MAX7219, а она в свою очередь зажигает нужные сегменты с нужной яркостью. Так же с помощью трех кнопок можно выставить год, месяц, день, и время по желанию. На фото индикаторы отображают время и температуру, которая взята с цифрового термодатчика

Основная сложность в моем случае - это то, что 2.7 дюймовые индикаторы с общим анодом, и их надо было во первых как то подружить с max7219, которая заточена под индикаторы с общим катодом, а во вторых решить проблему с их питанием, так как им нужно 7,2 вольта для свечения, чего одна max7219 обеспечить не может. Попросив помощи на одном форуме я получил таки ответ.

Решение на скриншоте:


К выходам сегментов из max7219 цепляется микросхемка , которая инвертирует сигнал, а к каждому выводу, который должен подключаться к общему катоду дисплея цепляется схемка из трех транзисторов, которые так же инвертируют его сигнал и повышают напряжение. Таким образом мы получаем возможность подключить к max7219 дисплеи с общим анодом и напряжением питания более 5 вольт

Для теста подключил один индикатор, все работает, ничего не дымит

Начинаем собирать.

Схему решил разделить на 2 части из-за огромного количества перемычек в разведенном моими кривыми лапками варианте, где все было на одной плате. Часы будут состоять из блока дисплея и блока питания и управления. Последний было решено собрать первым. Эстетов и бывалых радиолюбителей прошу не падать в обморок из-за жестокого обращения с деталями. Покупать принтер ради ЛУТа нет никакого желания, поэтому делаю по старинке - тренируюсь на бумажке, сверлю отверстия по шаблону, рисую маркером дорожки, затем травлю.

Принцип крепления индикаторов оставил тот же, как и на .

Размечаем положение индикаторов и компонентов, с помощью шаблона из оргстекла, сделанного для удобства.

Процесс разметки







Затем с помощью шаблона сверлим отверстия в нужных местах и примеряем все компоненты. Все встало безупречно.

Рисуем дорожки и травим.




купание в хлорном железе

Готово!
плата управления:


плата индикации:


Плата управления получилась отлично, на плате индикации не критично сожрало дорожку, это поправимо, настало время паять. В этот раз я лишился SMD-девственности, и включил 0805 компоненты в схему. Худо-бедно первые резисторы и конденсаторы были припаяны на места. Думаю дальше набью руку, будет легче.
Для пайки использовал флюс, который купил . Паять с ним одно удовольствие, спиртоканифоль использую теперь только для лужения.

Вот готовые платы. На плате управления имеется посадочное место для ардуино нано, часов, а так же выходы для подключения к плате дисплея и датчики (фоторезистор для автояркости и цифровой термометр ds18s20) и блок питания на с регулировкой выходного напряжения (для больших семисегментников) и для питания часов и ардуино, на плате индикации находятся посадочные гнезда для дисплеев, панельки для max2719 и uln2003a, решение для питания четырех больших семисегментников и куча перемычек.




плата управления сзади

Плата индикации сзади:

Ужасный монтаж смд:


Запуск

После припаивания всех шлейфов, кнопок и датчиков пришло время все это включить. Первый запуск выявил несколько проблем. Не светился последний большой индикатор, а остальные светились тускло. С первой проблемой расправился пропаиванием ножки смд-транзистора, со второй - регулировкой напряжения, выдаваемого lm317.
ОНО ЖИВОЕ!

20 августа 2015 в 12:34

Самодельные электронные часы, элементная база - часть 1, измерение времени

  • DIY или Сделай сам

Наверное, каждый гик, увлекающийся самодельной электроникой, рано или поздно приходит к идее сделать свои, уникальные, часы. Идея вполне неплоха, разберемся как и на чем их лучше сделать. В качестве отправной точки будем считать, что человек умеет программировать микроконтроллеры, понимает как переслать 2 байта по i2c или serial-порту, и может спаять вместе несколько проводов. В принципе, этого достаточно.

Понятно, что ключевая функция часов - измерение времени (кто бы подумал, да?). И делать это желательно максимально точно, здесь есть несколько вариантов и подводных камней.

Итак, какие доступные в «железе» способы измерения времени мы можем использовать?

Встроенный RC-генератор процессора

Самая простая идея, которая может придти в голову - это просто настроить программный таймер, и им отсчитывать секунды. Так вот, эта идея никуда не годится. Часы-то работать конечно будут, только вот точность встроенного генератора никак не регламентируется, и может «плавать» в пределах 10% от номинала. Вряд ли кому-то нужны часы, уходящие в месяц на 15 минут.

Модуль реального времени DS1307

Более правильный вариант, он же использующийся в большинстве «народных» изделий - это часы реального времени. Микросхема обменивается с микроконтроллером по I2C, требует минимума обвязки (кварц и пара резисторов). Цена вопроса около 100р за микросхему, или около 1$ на ебее за готовую плату с микросхемой, модулем памяти и разъемом для батарейки.

Схема из даташита:

Что не менее важно, микросхема выпускается в DIP-корпусе, значит припаять ее может любой начинающий радиолюбитель. Встроенная батарейка обеспечивает работу часов, даже если питание было отключено.

Казалось бы, все хорошо, если бы не одна проблема - невысокая точность. Примерная точность часовых кварцев - 20-30ppm. Обозначение ppm - parts per million, показывает число миллионных долей. Казалось бы, 20миллионных - это супер, однако для частоты в 32768Гц получается 20*32768/1000000 = ±0,65536Гц, т.е. уже полгерца. Путем несложных подсчетов видно, что генератор с такой разницей за сутки «натикает» лишних (или недостающих) 56тыс тактов, что соответствует 2 секундам в день. Кварцы бывают разные, некоторые пользователи писали и об ошибке в 5 секунд в день. Как-то не очень точно - за месяц такие часы уйдут как минимум, на минуту. Это уже приличная разница, заметная невооруженным глазом (когда любимый сериал бабушки начинается в 11.00, а часы показывают 11.05, разработчику таких часов перед родственниками будет неудобно).

Впрочем, поскольку температура в помещении более-менее стабильна, и частота кварца не будет сильно меняться, можно добавить программную коррекцию. Другой совет, даваемый на форумах, использовать часовой кварц от старых материнских плат, по отзывам, они там довольно точные.

Модуль реального времени DS3231

Мы не первые, кто задался вопросом точности, и компания Dallas пойдя навстречу пожеланиям, выпустила более совершенный модуль - DS3231. Он называется «Extremely Accurate Real Time Clock», имеет встроенный генератор с температурной коррекцией. Точность в 10 раз выше, и составляет 2ppm. Цена вопроса чуть повыше, но корпус микросхемы рассчитан под SMD-монтаж, паять не так удобно, зато можно купить на ебее готовую плату.


(фото с сайта продавца)

Точность в 6 секунд в месяц, это уже неплохой результат. Но мы пойдем дальше - в идеале, часы в 21 веке вообще не нужно подстраивать.

Радиомодуль DCF-77

Метод скорее экзотический, но для полноты картины его нельзя не упомянуть. Немногие знают, но сигналы точного времени передаются по радио еще с 70х годов. Передатчик DCF-77 расположен в Германии недалеко от Франкфурта, и на СДВ-частоте 77.5КГц передаются метки точного времени (да, у них уже 20 лет назад были настенные и настольные часы, которые не надо подстраивать).

Способ хорош тем, что схема имеет малое энергопотребление, так что сейчас производятся даже наручные часы с такой технологией. Готовую плату приема DCF-77 можно купить на ebay, цена вопроса 20$.

Многие часы и метеостанции имеют возможность приема DCF-77, проблема лишь в том, что до России сигнал практически не доходит. Карта покрытия с Википедии:

Как можно видеть, лишь Москва и Питер находятся на границе зоны приема. По отзывам владельцев, лишь иногда сигнал удается принять, что для практического применения конечно, не годится.

GPS-модуль

Если часы будут стоять недалеко от окна, то вполне реальный метод получения точного времени - GPS-модуль. Эти модули можно недорого купить на ebay (цена вопроса 10-15$). Например, Ublox NEO-6M, подключается напрямую к serial-пинам процессора, и выдает строки NMEA на скорости 9600.

Данные приходят примерно в таком формате " $GPRMC,040302.663,A,3939.7,N,10506.6,W,0.27,358.86,200804,*1A", и распарсить их даже для слабой Arduino труда не составляет. Патриоты кстати, могут приобрести более дорогой модуль Ublox NEO-7N, поддерживающий (по отзывам) как GPS так и «Глонасс».

Очевидно, что про разные часовые пояса GPS-модуль ничего не знает, так что их вычисление и смену летнего/зимнего времени, разработчику придется продумать самому. Другой минус использования GPS - относительно высокое энергопотребление (впрочем, некоторые модули можно отдельными командами переводить в «спящий режим»).

Wi-Fi

И наконец, последний (и самый очевидный на сегодняшний момент), способ получения точного времени - это брать его из Интернета. Здесь есть два подхода. Первый, и наиболее простой - использовать в качестве платы часов что-то типа Raspberry PI с Линуксом, тогда делать ничего не надо, все будет работать «из коробки». Если же хочется «экзотики» - то самым интересным вариантом является модуль esp8266.

Это недорогой (цена вопроса около 200р на ebay) WiFi-модуль может обмениваться с сервером по serial-порту процессора, при желании его можно также перепрошить (сторонних прошивок довольно много), и часть логики (например опрос сервера времени) сделать в самом модуле. Сторонними прошивками поддерживается куча всего, от Lua до C++, так что вариантов «размять мозги» вполне достаточно.

На этом тему измерения времени наверно можно закрыть. В следующей части мы поподробнее рассмотрим процессоры, и способы вывода времени.

  • Сергей Савенков

    какой то “куцый” обзор… как будто спешили куда то